Date: Wed, 24 Jul 2002 22:05:30 +0200
From: "cfmd.swipnet.se"
Subject: Re: Hyfsat OT: VHDL
From: "e6erikf.etek.chalmers.se"
Subject: Re: Hyfsat OT: VHDL
Date: Mon, 22 Jul 2002 14:47:07 +0200
>> Jamen det var ju ett bra svar ju!
>> Verilog dyker ofta upp när man surfar efter VHDL. Kanske skulle plocka upp
>> en sån bok istället då...
Tja, VHDL är inte så svårt faktiskt. Det svåra med Verilog är att det inte
finns någon tydlig definition av det, så att göra en korrekt implementation
är om möjligt ännu jävligare än för VHDL. Jag har båda standarderna och har
tittat en del i dem.
Jag håller med om att gratisverktygen är Verilog orienterade till större delen,
och om du är intresserad av just Verilog så bör du absolut titta på Icarus
Verilog eftersom där händer saker och jag vet att buggar blir fixade samt att
vidareutveckling sker.
Jag tycker att Verilog kod oftast är mer vildvuxen än VHDL kod. VHDL manar mer
till god struktur, något man vinner på i längden.
Själv så håller jag mig till VHDL. Jag har gjort konstruktioner till både
FPGA och ASIC i VHDL på firman, men gör även egna övningar i VHDL för
hobbybruk.
MVH
Magnus
------------------------------
Message Number: 2
Date: Wed, 24 Jul 2002 21:31:33 +0200
From: "per.linne.swipnet.se"
Subject: Re: Pro one
Jag tror att jag har omkopplare och rattar mm. Jag är på
semester nu, men kan höra av mig när jag kommer
tillbaka till kontoret omkr 5/8. - Om du är intresserad.
Mvh,
Per LinnÈ
(Ex SCI Service Center)
----- Original Message -----
From:
To: "Multiple recipients of SAS"
Sent: Tuesday, July 23, 2002 9:44 PM
Subject: Re: Pro one
>> >> >> I förrgår köpte jag en Pro One för 2000:-
>> >> >> OK pris, eller??
>> >> >> /Erik
>> >>
>> >> Det var som tusan! Hur mycket sprit fick du bjuda den killen på innan
han
>> >> släppte den ifrån sig?!
>>
>> Naa, den var faktiskt trasig. (Den fanns på IOL)
>> Ena oktavomkopplaren saknas. Dessutom sa ägaren att den inte triggade som
>> den
>> skulle, men det var bara att rengöra tangentbordskontakterna.
>>
>> Var i tjuvingen hittar man spare parts till SCI???
>>
>> /Erik
>> _____________________________________________
>>
>> Erik G
>> erik.g.mbox301.swipnet.se
>> http://home4.swipnet.se/~w-49485/
>>
>>
>>
>>
------------------------------
Message Number: 3
Date: Thu, 25 Jul 2002 01:08:46 +0200
From: "steiseim.online.no"
Subject: Re: Roland
This is a multi-part message in MIME format.
----=_--b964e319.0069d8d4.00000044
Content-type: text/plain; charset=US-ASCII
Content-Transfer-Encoding: 7bit
Hej!
Please....en Roland SH-2 trengar jag,
så fort som bara f**n.
Nån som vet vart jag kan få tag i en?
Stein
----=_--b964e319.0069d8d4.00000044
Content-Type: text/html; name="body.htm"
Content-Transfer-Encoding: quoted-printable
Content-Disposition: attachment; filename="body.htm"
<=21DOCTYPE HTML PUBLIC =22-//W3C//DTD HTML 4.0 Transitional//EN=22>
Hej=21
Please....en Roland SH-2 trengar jag,
så fort som bara f**n.
Nån som vet vart jag kan få tag i en?
Stein
----=_--b964e319.0069d8d4.00000044--
------------------------------
Message Number: 4
Date: Thu, 25 Jul 2002 06:52:58 +0200
From: "erik.g.mbox301.swipnet.se"
Subject: Re: Pro one
Kanon!
Jag tror att jag kan få en omkopplare gratis från annat håll.
Men en ratt vill jag ha. Hör av dig!
Tack,
/Erik
_____________________________________________
Erik G
erik.g.mbox301.swipnet.se
http://home4.swipnet.se/~w-49485/
----- Original Message -----
From:
To: "Multiple recipients of SAS"
Sent: Wednesday, July 24, 2002 9:31 PM
Subject: Re: Pro one
>> Jag tror att jag har omkopplare och rattar mm. Jag är på
>> semester nu, men kan höra av mig när jag kommer
>> tillbaka till kontoret omkr 5/8. - Om du är intresserad.
>>
>> Mvh,
>> Per LinnÈ
>> (Ex SCI Service Center)
>>
>> ----- Original Message -----
>> From:
>> To: "Multiple recipients of SAS"
>> Sent: Tuesday, July 23, 2002 9:44 PM
>> Subject: Re: Pro one
>>
>>
>> >> >> >> I förrgår köpte jag en Pro One för 2000:-
>> >> >> >> OK pris, eller??
>> >> >> >> /Erik
>> >> >>
>> >> >> Det var som tusan! Hur mycket sprit fick du bjuda den killen på
innan
>> han
>> >> >> släppte den ifrån sig?!
>> >>
>> >> Naa, den var faktiskt trasig. (Den fanns på IOL)
>> >> Ena oktavomkopplaren saknas. Dessutom sa ägaren att den inte triggade
som
>> >> den
>> >> skulle, men det var bara att rengöra tangentbordskontakterna.
>> >>
>> >> Var i tjuvingen hittar man spare parts till SCI???
>> >>
>> >> /Erik
>> >> _____________________________________________
>> >>
>> >> Erik G
>> >> erik.g.mbox301.swipnet.se
>> >> http://home4.swipnet.se/~w-49485/
>> >>
>> >>
>> >>
>> >>
>>
>>
------------------------------
Message Number: 5
Date: Thu, 25 Jul 2002 09:34:13 +0200
From: "jesper.bolina.hsb.se"
Subject: Re: Roland
>> Please....en Roland SH-2 trengar jag,
så fort som bara f**n.
Nån som vet vart jag kan få tag i en?
Stein
Byt bort en sjysst mini mot min SH-09 + SH-1 så får du mer än du behöver! =)
electronically yours, jesper
http://www.bolina.hsb.se/hsidor/jesper
- -- --- ---- ----- ------ ----- ---- --- -- -
Electronic Obsession Mailorder
http://obsession.svart.nu
------------------------------
Message Number: 6
Date: Thu, 25 Jul 2002 10:36:01 +0200
From: "Niklas.Sonden.EJ2.stud.mah.se"
Subject: Mongotronic
http://sas.synthdiy.com/ns/Mongotronic.jpg
/SondÈn
------------------------------
Message Number: 7
Date: Thu, 25 Jul 2002 12:06:16 +0200
From: "jesper.bolina.hsb.se"
Subject: EDP Wasp manual online
Hej boys!
Nu har er tjatige EDP-nisse down south html:at (snygg form) en version av
Waspmanualen för alla som inte förstått maskinens storhet eller helt enkelt
vill bläddra lite för skojs skull. Här hittas den;
http://www.bolina.hsb.se/hsidor/jesper/edpstart.htm
Och Erik, det är en annan version än den du hahde, om du inte skulle känna
igen dig! =)
electronically yours, jesper
http://www.bolina.hsb.se/hsidor/jesper
- -- --- ---- ----- ------ ----- ---- --- -- -
Electronic Obsession Mailorder
http://obsession.svart.nu
------------------------------
Message Number: 8
Date: Thu, 25 Jul 2002 17:06:35 +0200
From: "erik.g.mbox301.swipnet.se"
Subject: test -=?ISO-8859-1?Q?_släng?= - igen
/Erik
_____________________________________________
Erik G
erik.g.mbox301.swipnet.se
http://home4.swipnet.se/~w-49485/
------------------------------
Message Number: 9
Date: Thu, 25 Jul 2002 19:04:00 +0200
From: "Niklas.Sonden.EJ2.stud.mah.se"
Subject: Mongotronic
http://sas.synthdiy.com/ns/Mongotronic.jpg
/SondÈn
------------------------------
Message Number: 10
Date: Thu, 25 Jul 2002 21:18:55 +0200
From: "ivar.hookmusic.com"
Subject: Re: bit-01 ?
>>
>>
>> /Kristian - gav 700kr för BitOne, Det var ok, förutom att jag fick CYKLA hem
>> med den (hade ont i fingrarna flera dar efteråt (och blåmärken på bena)) :)
>>
Jag gav 500:- för min Bit-99 och fick skjuts hem! =o)
Höök - tycker oxå att omni och prg.change off som default suger! =O(
>>
>>
------------------------------
Message Number: 11
Date: Thu, 25 Jul 2002 22:28:46 +0200
From: "erik.forsling.brevet.nu"
Subject: SV: Hyfsat OT: VHDL
>:Tja, VHDL är inte så svårt faktiskt. Det svåra med Verilog är att det inte
>:finns någon tydlig definition av det, så att göra en korrekt implementation
>:är om möjligt ännu jävligare än för VHDL. Jag har båda standarderna och har
>:tittat en del i dem.
Jag förstår vad du menar. Men jag tror att jag redan har skrivit det mesta av
koden faktiskt. Det blev liksom mindre än hundra rader eller så. (Jag ska skicka
ut det för påseende under morgondagen eller så.) Så jag inbillar mig att jag ändå
ska kunna få det att funka. Det blir ju inte så mycket att testa.
>:Själv så håller jag mig till VHDL. Jag har gjort konstruktioner till både
>:FPGA och ASIC i VHDL på firman, men gör även egna övningar i VHDL för
>:hobbybruk.
Som sagt, jag ska skicka ut lite kod så får vi se om nån med Verilog-kunskaper
har nåt att säga. Och övriga kan bara kolla funktionerna som sådana.
/e
------------------------------
Message Number: 12
Date: Thu, 25 Jul 2002 22:43:16 +0200
From: "christian.kyloemusic.com"
Subject: bra att ha?
Hejsan
Min far kom hem till mig idag med ett tjockt elfa-kuvert fyllt med
tantalelektrolyter, med kommentaren "du gillar ju sånt här". Jag har ingen
aning om vad tantalelektrolyter är för något, så min fråga är; vad gör de,
och kan man ha dem till något användbart?
Btw, va dött det är här...
/christian - nyss hemkommen från kanarieöarna
------------------------------
Message Number: 13
Date: Fri, 26 Jul 2002 09:59:52 +0200
From: "jesper.bolina.hsb.se"
Subject: andromeda snart nere=?ISO-8859-1?Q?_på?= 20 papp
http://cgi.ebay.com/ws/eBayISAPI.dll?ViewItem&item=894789593
12 timmar kvar och inget intresse! Snart kanske de herrar som hunnit dregla
ska slå till?
electronically yours, jesper
http://www.bolina.hsb.se/hsidor/jesper
- -- --- ---- ----- ------ ----- ---- --- -- -
Electronic Obsession Mailorder
http://obsession.svart.nu
------------------------------
Message Number: 14
Date: Fri, 26 Jul 2002 15:34:43 +0200
From: "martin.kfib.org"
Subject: Re: bra att ha?
"christian.kyloemusic.com"
>> Hejsan
>>
>> Min far kom hem till mig idag med ett tjockt elfa-kuvert fyllt med
>> tantalelektrolyter, med kommentaren "du gillar ju sånt här". Jag har ingen
>> aning om vad tantalelektrolyter är för något, så min fråga är; vad gör de,
>> och kan man ha dem till något användbart?
Fusk! *avis*
Tantalkondingar är precis detsamma som elektrolyter, fast bättre. ;>
Ok, mer seriöst. Tantaler är polära (dvs de har ett ben för + och ett
för -) precis som elektrolyter, de har dock bättre egenskaper vad
gäller stabilitet och höga frekvenser, så över lag är de överlägsna
elektrolyter. Utom på två punkter; kapacitet och pris. Sen tror jag
också att det kan vara så att elektrolyter tål högre strömmar än
tantaler rent generellt, men det är jag inte riktigt säker på.
Mao; om du skiter i priset så ska du definitivt klämma dit tantaler i
stället för elektrolyter så ofta du kan (fast ännu hellre någon
lämplig opolär plastkonding). Det kan dock vara lite opraktiskt att
parallellkoppla 250 kondingar för att få tillräckligt med kapacitet...
--
Martin Persson Programmerare: C, C++, Java, Python, Assembler
martin.kfib.org Sysadmin: UNIX, VMS, Sendmail, Apache mm
http://martin.kfib.org/ CV: http://martin.kfib.org/cv/
"Att leva e som att spela trumpet under vattnet. Det går inte!"
Frank Gunnarsson i Måndagsklubben 1998-05-11
------------------------------
Message Number: 15
Date: Fri, 26 Jul 2002 15:56:29 +0200
From: "031.419450.telia.com" <031.419450.telia.com>
Subject: Re: andromeda snart nere=?ISO-8859-1?Q?_på?= 20 papp
Spelade på Andromeda idag på Freddans. De skulle ha 36 papp för den :)
Men, den lät avsevärt bättre än någon annan ny synth.
Filtrerna lät genomgående bra, åt SSM/Jupiter-8 hållet men lite mer rasp faktiskt
(positivt)
Dock upplevde jag oscillatorerna som väldigt anonyma. Ganska kalla och hårda
faktiskt....
Jag var egentligen där för att köpa en Les Paul !
Henrik
----- Original Message -----
From:
To: "Multiple recipients of SAS"
Sent: Friday, July 26, 2002 9:59 AM
Subject: andromeda snart nere på 20 papp
>> http://cgi.ebay.com/ws/eBayISAPI.dll?ViewItem&item=894789593
>>
>> 12 timmar kvar och inget intresse! Snart kanske de herrar som hunnit dregla
>> ska slå till?
>>
>> electronically yours, jesper
>>
>> http://www.bolina.hsb.se/hsidor/jesper
>> - -- --- ---- ----- ------ ----- ---- --- -- -
>> Electronic Obsession Mailorder
>> http://obsession.svart.nu
>>
>>
>>
------------------------------
Message Number: 16
Date: Fri, 26 Jul 2002 17:36:00 +0200
From: "mans.common.se"
Subject: Re: Mongotronic
>> http://sas.synthdiy.com/ns/Mongotronic.jpg
>>
>> /SondÈn
Det där var väl SAS:arna i ett nötskal nästan ;-)
Förresten, var inne på musikbörsen idag för första gången sedan de
flyttade. Enda begagnade jag såg var en memorymoog. Orkade inte prova den
dock.
//Måns
------------------------------
Message Number: 17
Date: Fri, 26 Jul 2002 17:39:21 +0200
From: "mans.common.se"
Subject: Re: SV: Hyfsat OT: VHDL
>> >:FPGA och ASIC i VHDL på firman, men gör även egna övningar i VHDL för
>> >:hobbybruk.
>>
>> Som sagt, jag ska skicka ut lite kod så får vi se om nån med
>> Verilog-kunskaper
>> har nåt att säga. Och övriga kan bara kolla funktionerna som sådana.
>>
>> /e
Ska det bli synthar eller moduler?
//Måns
------------------------------
Message Number: 18
Date: Fri, 26 Jul 2002 17:53:10 +0200
From: "d3berg.dtek.chalmers.se"
Subject: Re: Mongotronic
On Thu Jul 25 2002, Niklas.Sonden.EJ2.stud.mah.se
wrote:
>> http://sas.synthdiy.com/ns/Mongotronic.jpg
Ser ut som något ur tidningen Pyton, och ligger alldeles för nära
sanningen... :)
/Janne
--
Janne G:son Berg, d3berg.dtek.chalmers.se http://www.dtek.chalmers.se/~d3berg
.
------------------------------
Message Number: 19
Date: Fri, 26 Jul 2002 20:51:27 +0200
From: "steiseim.online.no"
Subject: Re: Roland
Hej!
Mini och mini, har en pensionerad Minisonic Mk II, dugar den då?
Vad skal du ha for SH-1:an och 9:an då?
Kanskje en ny Fostex VM 200, måste vel bli ett bra byte :)
NOK 15000,- kostar den her i Norge
Stein
----- Original Message -----
From:
To: "Multiple recipients of SAS"
Sent: Thursday, July 25, 2002 8:34 AM
Subject: Re: Roland
>> >> Please....en Roland SH-2 trengar jag,
>> så fort som bara f**n.
>> Nån som vet vart jag kan få tag i en?
>>
>> Stein
>>
>> Byt bort en sjysst mini mot min SH-09 + SH-1 så får du mer än du behöver!
=)
>>
>> electronically yours, jesper
>>
>> http://www.bolina.hsb.se/hsidor/jesper
>> - -- --- ---- ----- ------ ----- ---- --- -- -
>> Electronic Obsession Mailorder
>> http://obsession.svart.nu
>>
>>
>>
>>
>>
>>
------------------------------
Message Number: 20
Date: Sat, 27 Jul 2002 00:10:31 +0200
From: "erik.forsling.brevet.nu"
Subject: SV: SV: Hyfsat OT: VHDL
This is a multi-part message in MIME format.
----=_--b967948c.01122b73.00000003
Content-type: text/plain; charset=ISO-8859-1
Content-Transfer-Encoding: 8bit
>:>> >:FPGA och ASIC i VHDL på firman, men gör även egna övningar i VHDL för
>:>> >:hobbybruk.
>:>>
>:>> Som sagt, jag ska skicka ut lite kod så får vi se om nån med
>:>> Verilog-kunskaper
>:>> har nåt att säga. Och övriga kan bara kolla funktionerna som sådana.
>:
>:Ska det bli synthar eller moduler?
>:
>://Måns
Men Måns, det ska ju bli sequensern Skippy som du (och resten av världen) väntat
så på hela ditt liv. ;-)
Här kommer lite kod som faktiskt verkar fungera i all sin enkelhet. Sen är bara
frågan hur "kraftigt" chip man behöver.
/e
----=_--b967948c.01122b73.00000003
Content-Type: application/octet-stream; name="Skippy.v"
Content-Transfer-Encoding: base64
Content-Disposition: attachment; filename="Skippy.v"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----=_--b967948c.01122b73.00000003--
------------------------------
Message Number: 21
Date: Sat, 27 Jul 2002 00:51:16 +0200
From: "svenungsson_ns.hotmail.com"
Subject: =?ISO-8859-1?Q?går?= ut ur listan
Måste tyvärr gå ut ur listan.
kan ni ta bort mig nu så är det bra.
_________________________________________________________________
Med MSN Foto kan du enkelt dela med dig av dina fotografier och beställa
kopior: http://photos.msn.se
------------------------------
Message Number: 22
Date: Sat, 27 Jul 2002 02:28:44 +0200
From: "cfmd.swipnet.se"
Subject: Re: SV: SV: Hyfsat OT: VHDL
From: "erik.forsling.brevet.nu"
Subject: SV: SV: Hyfsat OT: VHDL
Date: Sat, 27 Jul 2002 00:10:31 +0200
Tja!
>> Men Måns, det ska ju bli sequensern Skippy som du (och resten av världen)
>> väntat så på hela ditt liv. ;-)
Yeah! Chockas över den råa kraften...
>> Här kommer lite kod som faktiskt verkar fungera i all sin enkelhet. Sen är
>> bara frågan hur "kraftigt" chip man behöver.
Den syntar fint. Den är typ jätteliten och försvinner i ett hörn på den Xilinx
XC2S100 som jag mappade emot. Den käkar 11 slices... av 1200, dvs. 1 %
nyttjandegrad. Man klämmer lätt in den i en enklare CPLD, vilket jag redan
gjort. En XC9536:a blev det, med ynka 44 ben. Fortfarande luft, men
fyllnadsgraden är nu mer åt ca 20 % (beroende på vilken siffra man tittar på).
Lite mindre putsningar behövs dock för att skära bort felmeddelandena.
Jag hackade till en VHDL variant av Skippy, som är parametriserad dessutom.
För den sugne. Syntar också... givetvis.
Nu åter till en mer analog tillvaro...
MVH
Magnus
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity d_step is
port (
-- Clock
Clk : in std_logic;
-- Reset
RESET_N : in std_logic;
-- Direction
dir : in std_logic;
-- Skip
skip : in std_logic;
-- Through inputs
through_m, through_p : in std_logic;
-- Through output
through : out std_logic;
-- Output
reg_out : buffer std_logic
);
end d_step;
architecture RTL of d_step is
signal c : std_logic;
begin
c <= '0' when RESET_N = '0' else
through_m when dir = '0' else
through_p;
L1: process (Clk, RESET_N)
begin
if RESET_N = '0' then
reg_out <= '0';
elsif Clk'Event and Clk = '1' then
reg_out <= c and (not skip);
end if;
end process;
through <= reg_out or (c and skip);
end RTL;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity Skippy2 is
Generic ( -- Number of steps Skippy2 can handle
N : integer := 4 );
Port (
-- Clock
Clk : in std_logic;
-- Reset
RESET_N : in std_logic;
-- Set forward direction
set_dir_forward : in std_logic;
-- Change direction of sequencer
turn : in std_logic;
-- Current direction of sequencer
dir : buffer std_logic;
-- Skip
Skip : in std_logic_vector(1 to N);
-- Output
Output : buffer std_logic_vector(1 to N)
);
end Skippy2;
architecture Behavioral of Skippy2 is
component d_step is
port (
Clk : in std_logic;
RESET_N : in std_logic;
dir : in std_logic;
skip : in std_logic;
through_m, through_p : in std_logic;
through : out std_logic;
reg_out : buffer std_logic
);
end component;
signal vec : std_logic_vector(1 to N);
signal through_m, through_p : std_logic_vector(1 to N);
signal all_zero : std_logic;
signal zero_vec : std_logic_vector(1 to N);
signal t2first, t2last : std_logic;
signal first, last : std_logic;
begin
L1: process (Clk, set_dir_forward)
begin
if set_dir_forward = '0' then
dir <= '0';
elsif Clk'Event and Clk = '1' and turn = '1' then
dir <= not dir;
end if;
end process;
first <= vec(1);
last <= vec(N);
zero_vec(1) <= not Output(1);
L2: for i in 2 to N generate
L1: zero_vec(i) <= zero_vec(i-1) and (not Output(i));
end generate;
all_zero <= zero_vec(N);
t2first <= (all_zero and (not dir)) or last;
t2last <= (all_zero and dir) or first;
through_m(1) <= t2first;
through_m(2 to N) <= vec(1 to N-1);
through_p(1 to N-1) <= vec(2 to N);
through_p(N) <= t2last;
L3: for i in 1 to N generate
L1: d_step port map (Clk => Clk, RESET_N => RESET_N, dir => dir, skip =>
Skip(i),
through_m => through_m(i), through_p => through_p(i),
through => vec(i), reg_out => Output(i));
end generate;
end Behavioral;
------------------------------
Message Number: 23
Date: Sat, 27 Jul 2002 02:48:41 +0200
From: "mans.common.se"
Subject: =?ISO-8859-1?Q?Från?= Norbergfestivalen=?ISO-8859-1?Q?_på?= STV24
Kul!
De sände ett repotage därifrån på SVT24. De intervjuade några stackare
ute på byn med, lämnade över ett par hörlurar och frågade om de
gillade "clickhop" eller minimalistisk house.
Kul verkade det vara iaf!
Känns hårt att inte vara där faktiskt. Väldigt hårt.
//Måns
------------------------------
Message Number: 24
Date: Sat, 27 Jul 2002 02:49:21 +0200
From: "andreas.bygdell.home.se"
Subject: Re:=?ISO-8859-1?Q?_Från?= Norbergfestivalen=?ISO-8859-1?Q?_på?= STV24
>>[...]gillade "clickhop" eller minimalistisk house.
Menar vi inte cliquehop nu?
- andreas, som jobbar natt och har tid att vara pedant.
------------------------------
Message Number: 25
Date: Sat, 27 Jul 2002 03:43:32 +0200
From: "mans.common.se"
Subject: Re:=?ISO-8859-1?Q?_Från?= Norbergfestivalen=?ISO-8859-1?Q?_på?= STV24
>> >>[...]gillade "clickhop" eller minimalistisk house.
>>
>> Menar vi inte cliquehop nu?
>> - andreas, som jobbar natt och har tid att vara pedant.
Jo precis. Klikkhopp var det.
//Måns
------------------------------
Message Number: 26
Date: Sat, 27 Jul 2002 05:53:59 +0200
From: "andreas.bygdell.home.se"
Subject: Gray Laboratories Basyn Minstrel
Nattligt hej!
Jag sitter och fördriver de sista minuterna av mitt nattskift på Synthmuseum och
hittar något vid namn Basyn Minstrel.
(http://www.synthmuseum.com/gray/graybasyn01.html) Den här maskinen verkar ju
helt underbart skitigit, dålig! Är det någon som har en/har haft en/har spelat
en? Några inspelningar där den används? Jag känner ett stort behov av att höra
exakt HUR taskig digitalsyntesen var i sin linda. Jag är en sån där person som
älskar lågupplösta samplingar i rätt sammanhang så det här KAN vara synthen jag
sökt hela mitt liv.
- andreas
------------------------------
Message Number: 27
Date: Sat, 27 Jul 2002 12:30:21 +0200
From: "than.techno.org"
Subject: Bilder: Portatron och SAS-VCO
Nu har jag lagt upp bilder på min bärbara väsk-modular samt SAS-VCO-bygget:
klicka på gear under http://go.to/kymatica
och man kan se fler bilder genom att klicka på de befintliga..
/Jonatan
---------------------
KYMATICA LABORATORIUM
http://go.to/kymatica
---------------------
------------------------------
Message Number: 28
Date: Sat, 27 Jul 2002 15:51:21 +0200
From: "0704313246.sms.tele2.se" <0704313246.sms.tele2.se>
Subject: Mail via Tele2 SMS
Ohoj galningar! Araya, KristianB, jag m fl lyssnar på SAS-fränden MarcusW som
spelar knaster i 6/8-takt i Norberg. Ni missar massor! :) /mr
-- This e-mail originated from the Tele2Mobil subscriber 0704313246.
E-mail may be sent to the subscriber's mobile phone using the address
0704313246.sms.tele2.se
------------------------------
Message Number: 29
Date: Sat, 27 Jul 2002 19:51:44 +0200
From: "polarn-p.acc.umu.se"
Subject: Kris i=?ISO-8859-1?Q?_förhållandet!?= Oberheim och=?ISO-8859-1?Q?_hårdvarusequensers?
Hej hjärtespalten!
Just hemkommen från operation Vägwerk2000...
(kritisk cashstatus, annars hade jag varit i Norberg... )
Tänkte rigga upp mina instrument igen och lira lite. Det är gratis.
Jag är verkligen Minimoog/Solina-sugen. Men så ser jag Oberheimen. OB-1
står där på högkant och det känns sådär konstigt, nästan som när man håller
på att glida ifrån varandra i ett förhållande. :-/
Den är ju cool, och _egentligen_ inte tråkig, ja det är ju egentligen
ganska bra med ös i den... men jag har inte använt den på MYCKET länge, och
har väl inte direkt saknat den i riggen heller. Men den är ju fin där den
står, och är ju rätt bisarr egentligen.
Men Moogarna är ju bara SÅ mycket bisarrare, så jag spelar ju på dem JÄMT.
Skall jag och Obi-Wan försöka hitta tillbaks till varandra igen, hur gör
man då?
Skall jag byta bort den mot någon ny och knasig maskin?
Skall jag bli synthswinger :) och bytlåna med nån polare mot en annan maskin?
Eller har jag för många syntar i mitt harem? Kan man ha det?
Vad skulle ni SAS-are ge för en OB-1:a om det till äventyrs skulle finnas
en till salu?
Sen till nåt annat...
Jag har iofs tänkt uppgradera till Cubase SX, men jag börjar bli nyfiken på
hårdvarusequensrar.
Har nån av er jobbat med en Roland MC-500/MC-500mkII? Hur är de? De var ju
top-of-the-line på den tiden.
Vad får man ge för en sån idag? Nån som har en till övers?
Jag funderar på någon sorts ny approach till livespelandet - att skippa
playbackmaskinen (dator/kvarttumstejp) helt och hållet och köra med
hårdvarusequenser stående ovanpå solinan, en Akajsampler stående bredvid,
och så en midi-CV-låda som driver analogmonofoner. Och så interagerar jag
med dessa; spelar (med två händer!) på Solinan och kranar monofonerna.
Eventuellt triggar loopar och ljudsjok i samplern manuellt. Coolt, eller?
Förvisso är MIDI ett skällsord här, men med en hårdvarusekvensiator borde
man uppnå en tajthet och stabilitet som persondatorerna bara kan drömma
om... visst?
mvh
P the Mad...
OT: Justja, jag är oxå spekulant på en _billig_ 750 kubiks motorcykel.
En Suzuki GSX 750 från sisådär -81 skulle duga...
(Jag behöver något att öva på egen hand med!)
__P a t r i k E r i k s s o n_______________________________
art - technology - music
polarn-p.acc.umu.se
http://www.encounter.nu/patrik
_____________________________________________________________
"The dark religions are departed and sweet science reigns"
------------------------------
Message Number: 30
Date: Sun, 28 Jul 2002 00:33:10 +0200
From: "mans.common.se"
Subject: Re: Kris i=?ISO-8859-1?Q?_förhållandet!?= Oberheim och=?ISO-8859-1?Q?_hårdvarusequensers?
>> Hej hjärtespalten!
>>
Bästa syntälskare!
>> Tänkte rigga upp mina instrument igen och lira lite. Det är gratis.
>> Jag är verkligen Minimoog/Solina-sugen. Men så ser jag Oberheimen. OB-1
>> står där på högkant och det känns sådär konstigt, nästan som när man
>> håller
>> på att glida ifrån varandra i ett förhållande. :-/
Det är sånt som händer. Det kanske är som så att den måste få vara för
sig själv ett tag eller kanske så att ni ska bli särbos under en period.
Det är också så att den kan vara hur bra som helst men att ni helt enkelt
inte trivs tillsammans.
>> Skall jag och Obi-Wan försöka hitta tillbaks till varandra igen, hur
>> gör
>> man då?
Det försiktiga sättet är att låna ut den till någon i en månad eller två.
Först då kan man känna om man *verkligen* saknar den eller inte. Det är
ju dessutom en trygghet då man kan hämta den om man skulle sakna den
aldeles för mycket.
>> Skall jag byta bort den mot någon ny och knasig maskin?
>> Skall jag bli synthswinger :) och bytlåna med nån polare mot en annan
>> maskin?
Bytlåna är bra säger jag.
>> Eller har jag för många syntar i mitt harem? Kan man ha det?
Om många av dem samlar damm och inte används eller om de står där och man
bara irriterar sig på dem så är det dags att rensa ut lite, helt klart.
Betänk också att nya maskiner ger nya infallsvinklar på musiken. På det
sättet är det bra att byta maskineri ibland.
>> Sen till nåt annat...
>> Jag har iofs tänkt uppgradera till Cubase SX, men jag börjar bli
>> nyfiken på
>> hårdvarusequensrar.
Akai MPC kör ju jag. Nu är vi visserligen på en lista om analoga ting men
den är underbar som sequencer. Datorn är bara bandspelare för mig, skulle
kunna kasta ut den genom fönstret och köra DAT eller rullbandare istället.
>> Förvisso är MIDI ett skällsord här, men med en hårdvarusekvensiator
>> borde
>> man uppnå en tajthet och stabilitet som persondatorerna bara kan drömma
>> om... visst?
Men ganska många analogmaskiner kan prata midi eller midi via
omvandlingen till CV/Gate. MPC är en väldigt trevlig bekanskap där
faktiskt. Nu när Akai släppte MPC4000 så är de "gamla" MPC2000 eller
2000XL billiga nog.
Roland har jag inte kört. Endast MSQ-700 och då bara som konverterare
mellan midisync och synk-24 men den funkar. Problemet med sådant är bara
editeringen....
Men annars är ju Alesis MMT-8 billig. Den är ju livevänlig, har man
dessutom två sådana så klarar man en hel spelning utan problem. Är det
frågan om perussiva ljud så har finns det ju en step-liknande
midisequencer tillverkad av Music And More (funkar som en
Rolandtrummaskin precis) ett bra val. Jag har kört en del med 505:a som
sequencer, den skickar då bara midinoter så själva tonlängden får man
ställa in på synthen men fungerar bra gör det. (Ljuden i 505:an är ju
annars genuint 80-tals usla och går knappt att använde).
Jag inser att det kanske är lite konstigt att skaffa en sampler för att
sedan använda den mest som midisequencer till ett antal gamla
analogmaskiner istället för att sampla med den men den gör det den ska,
den är lättarbetad och ger svänget verkligen.
//Måns -Har sålt en synth hittils. Det var en Siel som fick ett nytt hem
illa kvickt.
------------------------------
Message Number: 31
Date: Sun, 28 Jul 2002 10:23:44 +0200
From: "e6erikf.etek.chalmers.se"
Subject: Re: SV: SV: Hyfsat OT: VHDL
On Sat, 27 Jul 2002, cfmd.swipnet.se wrote:
>> From: "erik.forsling.brevet.nu"
>> Subject: SV: SV: Hyfsat OT: VHDL
>> Date: Sat, 27 Jul 2002 00:10:31 +0200
>>
>> >> Här kommer lite kod som faktiskt verkar fungera i all sin enkelhet. Sen är
>> >> bara frågan hur "kraftigt" chip man behöver.
>>
>> Den syntar fint. Den är typ jätteliten och försvinner i ett hörn på den Xilinx
>> XC2S100 som jag mappade emot. Den käkar 11 slices... av 1200, dvs. 1 %
>> nyttjandegrad. Man klämmer lätt in den i en enklare CPLD, vilket jag redan
>> gjort. En XC9536:a blev det, med ynka 44 ben. Fortfarande luft, men
>> fyllnadsgraden är nu mer åt ca 20 % (beroende på vilken siffra man tittar på).
>>
>> Lite mindre putsningar behövs dock för att skära bort felmeddelandena.
>>
>> Jag hackade till en VHDL variant av Skippy, som är parametriserad dessutom.
>> För den sugne. Syntar också... givetvis.
Ha! Man tackar! XC95xx är precis vad jag har tittat på. Men det där med
20%, hur menar du då? Vad är 100% i sammanhanget? Hur många macroceller
tar den upp?
Tyvärr går jag och väntar på att brännaren på skolan ska komma igång igen,
så att jag kan ta hem Xilinx Webpack. Det skulle bli lite tråkigt på
modem, liksom.
/e
>> Nu åter till en mer analog tillvaro...
Visst. Ett par op-förstärkare och ett gäng pottar så är vi klara. :)
/e
------------------------------